Author of the publication

VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power.

, , , and . MICRO, page 19-28. IEEE Computer Society, (2003)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Cross layer resiliency in real world., , , and . DATE, page 1. European Design and Automation Association, (2014)Power and thermal characterization of POWER6 system., , , , , , , , , and . PACT, page 7-18. ACM, (2010)Measurement-Driven Methodology for Evaluating Processor Heterogeneity Options for Power-Performance Efficiency., , , and . ISLPED, page 284-289. ACM, (2016)Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights., , , , , , , , , and 6 other author(s). ICCD, page 593-596. IEEE Computer Society, (2017)Thermal-aware task scheduling at the system software level., , , , , and . ISLPED, page 213-218. ACM, (2007)Skipper: a microarchitecture for exploiting control-flow independence., and . MICRO, page 4-15. ACM/IEEE Computer Society, (2001)Temperature Variation Characterization and Thermal Management of Multicore Architectures., and . IEEE Micro, 29 (1): 116-126 (2009)Soft error resiliency characterization and improvement on IBM BlueGene/Q processor using accelerated proton irradiation., , , , , , , , , and 2 other author(s). ITC, page 1-6. IEEE Computer Society, (2014)Efficient soft error vulnerability estimation of complex designs., , , and . DATE, page 103-108. ACM, (2015)Power-efficient, reliable microprocessor architectures: modeling and design methods., , , , , , , , , and 5 other author(s). ACM Great Lakes Symposium on VLSI, page 299-304. ACM, (2010)