Author of the publication

A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm.

, , , , , , , , , , , , , , , , and . VLSI Circuits, page 300-. IEEE, (2019)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Klinefelter, Alicia
add a person with the name Klinefelter, Alicia
 

Other publications of authors with the same name

21.3 A 6.45μW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios., , , , , , , , , and 4 other author(s). ISSCC, page 1-3. IEEE, (2015)A modular digital VLSI flow for high-productivity SoC design., , , , , , , , , and 5 other author(s). DAC, page 72:1-72:6. ACM, (2018)A Programmable 34 nW/Channel Sub-Threshold Signal Band Power Extractor on a Body Sensor Node SoC., , , and . IEEE Trans. on Circuits and Systems, 59-II (12): 937-941 (2012)A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm., , , , , , , , , and 7 other author(s). VLSI Circuits, page 300-. IEEE, (2019)A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm., , , , , , , , , and 7 other author(s). J. Solid-State Circuits, 55 (4): 920-932 (2020)A batteryless 19μW MICS/ISM-band energy harvesting body area sensor node SoC., , , , , , , , , and 2 other author(s). ISSCC, page 298-300. IEEE, (2012)Error-energy analysis of hardware logarithmic approximation methods for low power applications., , , and . ISCAS, page 2361-2364. IEEE, (2015)A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems., , , , , , , , , and 7 other author(s). IEEE Trans. Biomed. Circuits and Systems, 9 (6): 862-874 (2015)A Batteryless 19 µW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications., , , , , , , , , and 3 other author(s). J. Solid-State Circuits, 48 (1): 199-213 (2013)