Autor der Publikation

Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs.

, , , und . RACS, Seite 430-436. ACM, (2015)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Cache leakage control mechanism for hard real-time systems., , , und . CASES, Seite 248-256. ACM, (2007)Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memories., , und . RACS, Seite 243-248. ACM, (2018)Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs., , , und . RACS, Seite 430-436. ACM, (2015)A New Modulo (2n+1) Multiplier for IDEA., , und . Security and Management, Seite 318-324. CSREA Press, (2004)PM-COSYN: PE and memory co-synthesis for MPSoCs., , und . DATE, Seite 1590-1595. IEEE, (2010)An architectural co-synthesis algorithm for energy-aware network-on-chip design., , , , und . SAC, Seite 680-684. ACM, (2007)Branch Behavior Characterization for Multimedia Applications., , und . Asia-Pacific Computer Systems Architecture Conference, Volume 4186 von Lecture Notes in Computer Science, Seite 523-530. Springer, (2006)SECRET: A Selective Error Correction Framework for Refresh Energy Reduction in DRAMs., , , , und . TACO, 12 (2): 19:19:1-19:19:24 (2015)SECRET: Selective error correction for refresh energy reduction in DRAMs., , , , und . ICCD, Seite 67-74. IEEE Computer Society, (2012)TACLC: Timing-Aware Cache Leakage Control for Hard Real-Time Systems., , , und . IEEE Trans. Computers, 60 (6): 767-782 (2011)