Inproceedings,

Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs.

, , , and .
RACS, page 430-436. ACM, (2015)

Meta data

Tags

Users

  • @dblp

Comments and Reviews