Author of the publication

TSV stress aware timing analysis with applications to 3D-IC layout optimization.

, , , , and . DAC, page 803-806. ACM, (2010)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Die-to-Die Parasitic Extraction Targeting Face-to-Face Bonded 3D ICs., and . J. Inform. and Commun. Convergence Engineering, (2015)Backend Dielectric Reliability Full Chip Simulator., , , , and . IEEE Trans. VLSI Syst., 22 (8): 1750-1762 (2014)Distributed TSV Topology for 3-D Power-Supply Networks., and . IEEE Trans. VLSI Syst., 20 (11): 2066-2079 (2012)Net-Sensitivity-Based Optimization of Large-Scale Field-Programmable Analog Array (FPAA) Placement and Routing., , and . IEEE Trans. on Circuits and Systems, 56-II (7): 565-569 (2009)Chip/Package Mechanical Stress Impact on 3-D IC Reliability and Mobility Variations., , and . IEEE Trans. on CAD of Integrated Circuits and Systems, 32 (11): 1694-1707 (2013)Stacking integration methodologies in 3D IC for 3D ultrasound image processing application: A stochastic flash ADC design case study., , and . ISCAS, page 1266-1269. IEEE, (2015)How to reduce power in 3D IC designs: A case study with OpenSPARC T2 core., , , , , , , , , and 3 other author(s). CICC, page 1-4. IEEE, (2013)Block-level 3D IC design with through-silicon-via planning., , and . ASP-DAC, page 335-340. IEEE, (2012)Fast delay estimation with buffer insertion for through-silicon-via-based 3D interconnects., and . ISQED, page 228-335. IEEE, (2012)Physical Planning with Retiming., and . ICCAD, page 2-7. IEEE Computer Society, (2000)