Author of the publication

Compact Dictionaries for Fault Diagnosis in Scan-BIST.

, and . IEEE Trans. Computers, 53 (6): 775-780 (2004)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Chakrabarty, Krishnendu
add a person with the name Chakrabarty, Krishnendu
 

Other publications of authors with the same name

An Optimal Two-Mixer Dilution Engine with Digital Microfluidics for Low-Power Applications., , , and . J. Low Power Electronics, 10 (3): 506-518 (2014)Optimization of Test Pin-Count, Test Scheduling, and Test Access for NoC-Based Multicore SoCs., and . IEEE Trans. Computers, 63 (3): 691-702 (2014)Test Access Mechanism Optimization, Test Scheduling, and Tester Data Volume Reduction for System-on-Chip., , and . IEEE Trans. Computers, 52 (12): 1619-1632 (2003)A Distributed Coverage- and Connectivity-Centric Technique for Selecting Active Nodes in Wireless Sensor Networks., and . IEEE Trans. Computers, 54 (8): 978-991 (2005)Wafer-Level Modular Testing of Core-Based SoCs., and . IEEE Trans. VLSI Syst., 15 (10): 1144-1154 (2007)Wafer-Level Defect Screening for "Big-D/Small-A" Mixed-Signal SoCs., , , and . IEEE Trans. VLSI Syst., 17 (4): 587-592 (2009)Connecting fabrication defects to fault models and SPICE simulations for DNA self-assembled nanoelectronics., , , and . IET Computers & Digital Techniques, 3 (6): 553-569 (2009)Test Infrastructure Design - for Digital, Mixed-Signal and Hierarchical SOCs., and . LAP Lambert Academic Publishing, (2011)Layout-Aware Solution Preparation for Biochemical Analysis on a Digital Microfluidic Biochip., , , and . VLSI Design, page 171-176. IEEE Computer Society, (2011)Reconfiguration-Based Defect Tolerance for Microfluidic Biochips.. DFT, IEEE Computer Society, (2006)