Author of the publication

A power scalable 2-10 Gb/s PI-based clock data recovery for multilane applications.

, , , , , , , , , , and . Microelectronics Journal, (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS., , , , , and . ISCAS, page 309-312. IEEE, (2012)A 40Gb/s 27mW 3-tap closed-loop decision feedback equalizer in 65nm CMOS., , , , , , , and . NEWCAS, page 1-4. IEEE, (2015)A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive DFE using hybrid alternate clock scheme and baud-rate CDR in 65nm CMOS., , , , , , , and . ESSCIRC, page 144-147. IEEE, (2015)A 75mW 50Gbps SerDes transmitter with automatic serializing time window search in 65nm CMOS technology., , , , and . CICC, page 1-4. IEEE, (2014)A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS., , , , , , and . VLSI-DAT, page 1-4. IEEE, (2013)A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS., , , , , , , , , and . J. Solid-State Circuits, 52 (11): 2963-2978 (2017)A 10-GS/s 8-bit 4-way interleaved folding ADC in 0.18 µm SiGe-BiCMOS., , , , , , , , , and . IEICE Electronic Express, 16 (3): 20181079 (2019)A 10-Gb/s simplified transceiver with a quarter-rate 4-tap decision feedback equalizer in 0.18-μm CMOS technology., , , , , and . ASICON, page 1-4. IEEE, (2013)A 190mW 40Gbps SerDes transmitter and receiver chipset in 65nm CMOS technology., , , , , , and . CICC, page 1-4. IEEE, (2015)A power scalable 2-10 Gb/s PI-based clock data recovery for multilane applications., , , , , , , , , and 1 other author(s). Microelectronics Journal, (2018)