Author of the publication

A resource-efficient network interface supporting low latency reconfiguration of virtual circuits in time-division multiplexing networks-on-chip.

, , , and . Journal of Systems Architecture - Embedded Systems Design, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

State-based Communication on Time-predictable Multicore Processors., , and . RTNS, page 225-234. ACM, (2016)Avionics Applications on a Time-Predictable Chip-Multiprocessor., , , , and . PDP, page 777-785. IEEE Computer Society, (2016)A resource-efficient network interface supporting low latency reconfiguration of virtual circuits in time-division multiplexing networks-on-chip., , , and . Journal of Systems Architecture - Embedded Systems Design, (2017)Time-division multiplexing vs network calculus: a comparison., , and . RTNS, page 289-296. ACM, (2015)Synthesis and layout of an asynchronous network-on-chip using Standard EDA tools., , , and . NORCHIP, page 1-6. IEEE, (2014)TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research., , , , , , , , , and . WCET, volume 55 of OASIcs, page 2:1-2:10. Schloss Dagstuhl - Leibniz-Zentrum für Informatik, (2016)Interfacing hardware accelerators to a time-division multiplexing network-on-chip., , , and . NORCAS, page 1-4. IEEE, (2015)An area-efficient TDM NoC supporting reconfiguration for mode changes., , and . NOCS, page 1-4. IEEE, (2016)A loosely synchronizing asynchronous router for TDM-scheduled NOCs., , , , , and . NOCS, page 151-158. IEEE, (2014)Models of Communication for Multicore Processors., , and . ISORC Workshops, page 9-16. IEEE Computer Society, (2015)