Autor der Publikation

Novel FDSOI-based Dynamic XNOR Logic for Ultra-Dense Highly-Efficient Computing

, , , , und . 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Seite 3373-3377. IEEE, (2022)
DOI: 10.1109/ISCAS48785.2022.9937329

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

First demonstration of in-memory computing crossbar using multi-level Cell FeFET, , , , , , , , und . Nature communications, (2023)Comprehensive Variability Analysis in Dual-Port FeFET for Reliable Multi-Level-Cell Storage, , , , und . IEEE transactions on electron devices, 69 (9): 5316-5323 (2022)Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock Tuning, , und . IEEE electron device letters, 44 (11): 1907-1910 (2023)Nontraditional Design of Dynamic Logics Using FDSOI for Ultra-Efficient Computing, , , , und . IEEE journal on exploratory solid-state computational devices and circuits, 9 (1): 74-82 (2023)Leveraging Ferroelectric Stochasticity and In-Memory Computing for DNN IP Obfuscation, , , , , , und . IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, 8 (2): 102-110 (2022)Cross-Layer Reliability Modeling of Dual-Port FeFET : Device-Algorithm Interaction, , , , und . IEEE transactions on circuits and systems. 1, Fundamental theory and applications, 70 (7): 2891-2903 (2023)Modeling and Benchmarking 5nm Ferroelectric FinFET from Room Temperature down to Cryogenic Temperatures, , , , und . 2023 IEEE 23rd International Conference on Nanotechnology (NANO), Seite 643-648. IEEE, (2023)Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET, , , , , , , , , und 8 andere Autor(en). ACS applied materials & interfaces, 15 (47): 54602-54610 (2023)Cross-layer FeFET Reliability Modeling for Robust Hyperdimensional Computing, , , , , und . 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC), Piscataway, IEEE, (2022)A Novel Approach to Mitigate Power Side-Channel Attacks for Emerging Negative Capacitance Transistor Technology, , , , und . 2022 20th IEEE Interregional NEWCAS Conference (NEWCAS), Seite 504-508. Piscataway, IEEE, (2022)