Autor der Publikation

Energy Optimization for Many-Core Platforms: Communication and PVT Aware Voltage-Island Formation and Voltage Selection Algorithm.

, , , und . IEEE Trans. on CAD of Integrated Circuits and Systems, 29 (5): 816-829 (2010)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Analog IP design flow for SoC applications., , , und . ISCAS (4), Seite 676-679. IEEE, (2003)A technique for DC-offset removal and carrier phase error compensation in integrated wireless receivers., , und . ISCAS (1), Seite 173-176. IEEE, (2003)Power Supply Noise in SoCs: Metrics, Management, and Measurement., , und . IEEE Design & Test of Computers, 24 (3): 236-244 (2007)A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks., und . ISQED, Seite 572-577. IEEE Computer Society, (2008)Energy Optimization for Large-Scale 3D Manycores in the Dark-Silicon Era., , , , und . IEEE Access, (2019)Mixed-mode simulation and analog multilevel simulation., , und . The Kluwer international series in engineering and computer science Kluwer, (1994)Essential Fault-Tolerance Metrics for NoC Infrastructures., , , , und . IOLTS, Seite 37-42. IEEE Computer Society, (2007)Identification of Viable Paths Using Binary Decision Diagrams., und . ICCD, Seite 638-641. IEEE Computer Society, (1991)Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance., und . VLSI Design, Seite 214-219. IEEE Computer Society, (2008)Design, Synthesis, and Test of Networks on Chips., , , , und . IEEE Design & Test of Computers, 22 (5): 404-413 (2005)