Autor der Publikation

Brain-Inspired Computing : Adventure from Beyond CMOS Technologies to Beyond von Neumann Architectures ICCAD Special Session Paper

, , , , , , , , , und . 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), Piscataway, IEEE, (2021)
DOI: 10.1109/ICCAD51958.2021.9643488

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Bundle and separate sales in auctions with entry.. Games and Economic Behavior, 54 (1): 31-46 (2006)Technology Aware Training in Memristive Neuromorphic Systems based on non-ideal Synaptic Crossbars., , und . CoRR, (2017)PCA-driven Hybrid network design for enabling Intelligence at the Edge., , , , und . CoRR, (2019)All-Photonic Phase Change Spiking Neuron: Toward Fast Neural Computing using Light., , , und . CoRR, (2018)Discretization based Solutions for Secure Machine Learning against Adversarial Attacks., , und . CoRR, (2019)Proposal for a Low Voltage Analog-to-Digital Converter using Voltage Controlled Stochastic Switching of Low Barrier Nanomagnets., , und . CoRR, (2018)Scaling Deep Spiking Neural Networks with Binary Stochastic Activations., , und . ICCC, Seite 50-58. IEEE, (2019)Examining Effects of Cognitive Style on Technology Acceptance Decisions., , und . PACIS, Seite 44. AISeL, (2005)8T SRAM Cell as a Multi-bit Dot Product Engine for Beyond von-Neumann Computing., , , und . CoRR, (2018)Neural network accelerator design with resistive crossbars: Opportunities and challenges., , , , , , , und . IBM Journal of Research and Development, 63 (6): 10:1-10:13 (2019)