Author of the publication

Reconfiguration of One-Time Programmable FPGAs with Faulty Logic Resources.

, , , and . DFT, page 368-376. IEEE Computer Society, (1999)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs., and . DATE, page 841-846. EDA Consortium, San Jose, CA, USA, (2007)Optimal Spare Utilization in Repairable and Reliable Memory Cores., , , , and . MTDT, page 64-71. IEEE Computer Society, (2003)Embedded Fault-Tolerant Systems., , , and . IEEE Micro, 18 (5): 8-11 (1998)Testing programmable interconnect systems: an algorithmic approach., , and . Asian Test Symposium, page 311-316. IEEE Computer Society, (2000)A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs., , , and . Asian Test Symposium, page 248-253. IEEE Computer Society, (1997)A Diagnosis Method for Interconnects in SRAM Based FPGAs., , , and . Asian Test Symposium, page 278-282. IEEE Computer Society, (1998)On the minimal test set for single fault location., , and . EURO-DAC, page 265-270. IEEE Computer Society, (1993)A Metric for Assessing the Error Tolerance of Tile Sets for Punctured DNA Self-Assemblies., , and . VTS, page 275-282. IEEE Computer Society, (2008)Evaluation and improvement of fault coverage for verification and validation of protocols., , and . SPDP, page 200-207. IEEE Computer Society, (1990)Design and Analysis of Inexact Floating-Point Adders., , , , and . IEEE Trans. Computers, 65 (1): 308-314 (2016)