Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint., , , , , , und . IEEE Trans. VLSI Syst., 23 (1): 156-169 (2015)Actively Alleviate Power Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC., , , , , , , und . IEEE Trans. VLSI Syst., 23 (2): 266-279 (2015)A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip., , , , , und . JETC, 8 (1): 5:1-5:26 (2012)System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip., , , , , , , und . IEEE Trans. VLSI Syst., 21 (2): 292-305 (2013)System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip., , , , , , , , und . IEEE Trans. on CAD of Integrated Circuits and Systems, 33 (11): 1718-1731 (2014)A Hardware-Software Collaborated Method for Soft-Error Tolerant MPSoC., , , , , , , , und . ISVLSI, Seite 260-265. IEEE Computer Society, (2011)DeEPeR: Enhancing Performance and Reliability in Chip-Scale Optical Interconnection Networks., , , und . ACM Great Lakes Symposium on VLSI, Seite 63-68. ACM, (2018)Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks., , , , , , , , , und 2 andere Autor(en). IEEE Trans. VLSI Syst., 24 (7): 2475-2487 (2016)Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors., , , , , , , und . IEEE Trans. Computers, 63 (6): 1446-1459 (2014)3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip., , , , , , , , , und . IEEE Trans. on CAD of Integrated Circuits and Systems, 32 (4): 584-596 (2013)