Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip., , , and . IET Computers & Digital Techniques, 7 (6): 255-263 (2013)A Scalable Turbo Decoding Algorithm for High-Throughput Network-on-Chip Implementation., , , , , and . IEEE Access, (2016)Deadlock-free and plane-balanced adaptive routing for 3D networks-on-chip., , , , and . NoCArc@MICRO, page 31-36. ACM, (2012)Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip., , , , , and . DATE, page 1-4. European Design and Automation Association, (2014)Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks., , , and . ACM Trans. Embedded Comput. Syst., 13 (4s): 139:1-139:25 (2014)Embedded Transitive Closure Network for Runtime Deadlock Detection in Networks-on-Chip., , , , and . IEEE Trans. Parallel Distrib. Syst., 23 (7): 1205-1215 (2012)Hybrid wire-surface wave interconnects for next-generation networks-on-chip., , , , , , and . IET Computers & Digital Techniques, 7 (6): 294-303 (2013)Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems., , , , and . ACM Trans. Design Autom. Electr. Syst., 19 (1): 2:1-2:27 (2013)Design and Implementation of Dynamic Thermal-Adaptive Routing Strategy for Networks-on-Chip., , , , and . PDP, page 384-391. IEEE Computer Society, (2014)Dynamic On-Chip Thermal Optimization for Three-Dimensional Networks-On-Chip., , , , , and . Comput. J., 56 (6): 756-770 (2013)