Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Kulkarni, Jaydeep
add a person with the name Kulkarni, Jaydeep
 

Other publications of authors with the same name

Impact of Process Variation on Self-Reference Sensing Scheme and Adaptive Current Modulation for Robust STTRAM Sensing., , and . JETC, 14 (1): 8:1-8:17 (2018)Report on the 2018 IEEE/ACM International Symposium on Low Power Electronics and Design., and . IEEE Design & Test, 35 (6): 94-95 (2018)Improving multi-core performance using mixed-cell cache architecture., , , , and . HPCA, page 119-130. IEEE Computer Society, (2013)Capacitive-coupling wordline boosting with self-induced VCC collapse for write VMIN reduction in 22-nm 8T SRAM., , , , , and . ISSCC, page 234-236. IEEE, (2012)Opportunities for PMOS read and write ports in low voltage dual-port 8T bit cell arrays., , , , and . CICC, page 1-4. IEEE, (2010)17.2 5.6Mb/mm2 1R1W 8T SRAM arrays operating down to 560mV utilizing small-signal sensing with charge-shared bitline and asymmetric sense amplifier in 14nm FinFET CMOS technology., , , , , , and . ISSCC, page 308-309. IEEE, (2016)Resilient design in scaled CMOS for energy efficiency., , , , , , , , , and 2 other author(s). ASP-DAC, page 625. IEEE, (2010)Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory., , , , , , , , , and 47 other author(s). IEEE Trans. VLSI Syst., 27 (2): 253-280 (2019)A 0.45-1 V Fully-Integrated Distributed Switched Capacitor DC-DC Converter With High Density MIM Capacitor in 22 nm Tri-Gate CMOS., , , , , , and . J. Solid-State Circuits, 49 (4): 917-927 (2014)Design for test and reliability in ultimate CMOS., , , , , , , , , and 4 other author(s). DATE, page 677-682. IEEE, (2012)