Author of the publication

Process-Variation-Calibrated Multiphase Delay Locked Loop With a Loop-Embedded Duty Cycle Corrector.

, , and . IEEE Trans. on Circuits and Systems, 61-II (1): 1-5 (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

ADDLL for Clock-Deskew Buffer in High-Performance SoCs., , , and . IEEE Trans. VLSI Syst., 21 (7): 1368-1373 (2013)Level-Converting Retention Flip-Flop for Reducing Standby Power in ZigBee SoCs., , , , and . IEEE Trans. VLSI Syst., 23 (3): 413-421 (2015)Process Variation Tolerant All-Digital 90° Phase Shift DLL for DDR3 Interface., , , , , , , and . IEEE Trans. on Circuits and Systems, 59-I (10): 2186-2196 (2012)All-Digital 90° Phase-Shift DLL With Dithering Jitter Suppression Scheme., , , and . IEEE Trans. VLSI Syst., 24 (3): 1015-1024 (2016)Lessons Learned from an Auditory-vibrotactile Sensory Experience in the Museum., , , , and . ISS, page 373-378. ACM, (2019)A 90° phase-shift DLL with closed-loop DCC for high-speed mobile DRAM interface., , and . IEEE Trans. Consumer Electronics, 56 (4): 2400-2405 (2010)All-Digital Fast-Locking Delay-Locked Loop Using a Cyclic-Locking Loop for DRAM., , , , and . IEEE Trans. on Circuits and Systems, 62-II (11): 1023-1027 (2015)Process-Variation-Calibrated Multiphase Delay Locked Loop With a Loop-Embedded Duty Cycle Corrector., , and . IEEE Trans. on Circuits and Systems, 61-II (1): 1-5 (2014)An Energy-Efficient All-Digital Time-Domain-Based CMOS Temperature Sensor for SoC Thermal Management., , , , and . IEEE Trans. VLSI Syst., 23 (8): 1508-1517 (2015)High-Speed, Low-Power, and Highly Reliable Frequency Multiplier for DLL-Based Clock Generator., , , , and . IEEE Trans. VLSI Syst., 24 (4): 1484-1492 (2016)