Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

Keine Personen gefunden für den Autorennamen Petit, Salvador
Eine Person hinzufügen mit dem Namen Petit, Salvador
 

Weitere Publikationen von Autoren mit dem selben Namen

A New Energy-Aware Dynamic Task Set Partitioning Algorithm for Soft and Hard Embedded Real-Time Systems., , , , und . Comput. J., 54 (8): 1282-1294 (2011)Exploring the performance of split data cache schemes on superscalar processors and symmetric multiprocessors., , , und . Journal of Systems Architecture, 51 (8): 451-469 (2005)Spim-Cache: A Pedagogical Tool for Teaching Cache Memories Through Code-Based Exercises., , , und . IEEE Trans. Education, 50 (3): 244-250 (2007)A Scheduling Heuristic to Handle Local and Remote Memory in Cluster Computers., , , , und . HPCC, Seite 35-42. IEEE, (2010)Using Huge Pages and Performance Counters to Determine the LLC Architecture., , , und . ICCS, Volume 18 von Procedia Computer Science, Seite 2557-2560. Elsevier, (2013)Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption., , , , und . PDP, Seite 200-204. IEEE Computer Society, (2010)Current challenges in simulations of HPC systems.. HPCS, Seite 653-655. IEEE, (2015)Accurately modeling the GPU memory subsystem., , , und . HPCS, Seite 179-186. IEEE, (2015)Symbiotic job scheduling on the IBM POWER8., , , und . HPCA, Seite 669-680. IEEE Computer Society, (2016)Impact of Memory-Level Parallelism on the Performance of GPU Coherence Protocols., , , und . PDP, Seite 305-308. IEEE Computer Society, (2016)