Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

Keine Personen gefunden für den Autorennamen Devadas, Srinivas
Eine Person hinzufügen mit dem Namen Devadas, Srinivas
 

Weitere Publikationen von Autoren mit dem selben Namen

Challenges in code generation for embedded processors., , , , , , , und . Code Generation for Embedded Processors, Seite 48-64. Kluwer, (1994)Topological Optimization of Multiple-Level Array Logic., und . IEEE Trans. on CAD of Integrated Circuits and Systems, 6 (6): 915-941 (1987)MARTHA: architecture for control and emulation of power electronics and smart grid systems., , , und . DATE, Seite 519-524. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures., , und . Design Autom. for Emb. Sys., 4 (1): 5-22 (1999)Physical Unclonable Functions and Applications: A Tutorial., , , und . Proceedings of the IEEE, 102 (8): 1126-1141 (2014)AEGIS: A single-chip secure processor., , und . Inf. Sec. Techn. Report, 10 (2): 63-73 (2005)Integrity verification for path Oblivious-RAM., , , , und . HPEC, Seite 1-6. IEEE, (2013)Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching., , , , und . IEEE Trans. Emerging Topics Comput., 2 (1): 37-49 (2014)A self-aware processor SoC using energy monitors integrated into power converters for self-adaptation., , , , , , , , , und . VLSIC, Seite 1-2. IEEE, (2014)Solving covering problems using LPR-based lower bounds., , und . IEEE Trans. VLSI Syst., 8 (1): 9-17 (2000)