Author of the publication

Frequency and yield optimization using power gates in power-constrained designs.

, , , , , , and . ISLPED, page 121-126. ACM, (2009)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Optimal algorithm for profile-based power gating: A compiler technique for reducing leakage on execution units in microprocessors., , , and . ICCAD, page 361-364. IEEE, (2010)A Dual-Vt low leakage SRAM array robust to process variations., , and . ISCAS, page 580-583. IEEE, (2008)Statistical static timing analysis considering leakage variability in power gated designs., , , , and . ISLPED, page 57-62. ACM, (2009)Empirical study on BlenderBot 2.0 Errors Analysis in terms of Model, Data and User-Centric Approach., , , , , and . CoRR, (2022)Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking., , , , , and . DATE, page 1-6. European Design and Automation Association, (2014)Analyzing impact of multiple ABB and AVS domains on throughput of power and thermal-constrained multi-core processors., , and . ASP-DAC, page 229-234. IEEE, (2010)Topological Entropy Dimension and Directional Entropy Dimension for ℤ2-Subshifts., , and . Entropy, 19 (2): 46 (2017)Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating., and . DAC, page 47-50. ACM, (2009)Frequency and yield optimization using power gates in power-constrained designs., , , , , , and . ISLPED, page 121-126. ACM, (2009)Improving Throughput of Power-Constrained GPUs Using Dynamic Voltage/Frequency and Core Scaling., , , , and . PACT, page 111-120. IEEE Computer Society, (2011)