Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Nath, Siddhartha
add a person with the name Nath, Siddhartha
 

Other publications of authors with the same name

The ITRS MPU and SOC system drivers: Calibration and implications for design-based equivalent scaling in the roadmap., , , and . ICCD, page 153-160. IEEE Computer Society, (2014)Enhanced metamodeling techniques for high-dimensional IC design estimation problems., , and . DATE, page 1861-1866. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Optimal reliability-constrained overdrive frequency selection in multicore systems., and . ISQED, page 300-308. IEEE, (2014)Incremental multiple-scan chain ordering for ECO flip-flop insertion., , and . ICCAD, page 705-712. IEEE, (2013)On potential design impacts of electromigration awareness., , and . ASP-DAC, page 527-532. IEEE, (2013)Optimization of overdrive signoff., , , and . ASP-DAC, page 344-349. IEEE, (2013)ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap., , , , and . ICCD, page 139-146. IEEE Computer Society, (2014)Explicit modeling of control and data for improved NoC router estimation., , and . DAC, page 392-397. ACM, (2012)3DIC benefit estimation and implementation guidance from 2DIC implementation., , , , and . DAC, page 30:1-30:6. ACM, (2015)A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction., , , , and . DAC, page 26:1-26:6. ACM, (2015)