Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Eyerman, Stijn
add a person with the name Eyerman, Stijn
 

Other publications of authors with the same name

Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware., , and . ISPASS, page 216-226. IEEE Computer Society, (2011)Maximizing Heterogeneous Processor Performance Under Power Constraints., , , and . TACO, 13 (3): 29:1-29:23 (2016)Per-thread cycle accounting in multicore processors., , and . TACO, 9 (4): 29:1-29:22 (2013)An Evaluation of High-Level Mechanistic Core Models., , , , and . TACO, 11 (3): 28:1-28:25 (2014)Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance., and . IEEE Comput. Archit. Lett., 13 (2): 93-96 (2014)Characterizing the branch misprediction penalty., , and . ISPASS, page 48-58. IEEE Computer Society, (2006)Symbiotic job scheduling on the IBM POWER8., , , and . HPCA, page 669-680. IEEE Computer Society, (2016)Micro-architecture independent analytical processor performance and power modeling., , , , , , , and . ISPASS, page 32-41. IEEE Computer Society, (2015)Memory-level parallelism aware fetch policies for simultaneous multithreading processors., and . TACO, 6 (1): 3:1-3:33 (2009)Studying Compiler-Microarchitecture Interactions through Interval Analysis., , and . PACT, page 406. IEEE Computer Society, (2007)