Autor der Publikation

Speedup stacks: Identifying scaling bottlenecks in multi-threaded applications.

, , und . ISPASS, Seite 145-155. IEEE Computer Society, (2012)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

Keine Personen gefunden für den Autorennamen Eyerman, Stijn
Eine Person hinzufügen mit dem Namen Eyerman, Stijn
 

Weitere Publikationen von Autoren mit dem selben Namen

Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware., , und . ISPASS, Seite 216-226. IEEE Computer Society, (2011)Maximizing Heterogeneous Processor Performance Under Power Constraints., , , und . TACO, 13 (3): 29:1-29:23 (2016)Per-thread cycle accounting in multicore processors., , und . TACO, 9 (4): 29:1-29:22 (2013)An Evaluation of High-Level Mechanistic Core Models., , , , und . TACO, 11 (3): 28:1-28:25 (2014)Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance., und . IEEE Comput. Archit. Lett., 13 (2): 93-96 (2014)Characterizing the branch misprediction penalty., , und . ISPASS, Seite 48-58. IEEE Computer Society, (2006)Symbiotic job scheduling on the IBM POWER8., , , und . HPCA, Seite 669-680. IEEE Computer Society, (2016)Micro-architecture independent analytical processor performance and power modeling., , , , , , , und . ISPASS, Seite 32-41. IEEE Computer Society, (2015)Memory-level parallelism aware fetch policies for simultaneous multithreading processors., und . TACO, 6 (1): 3:1-3:33 (2009)Bottle graphs: visualizing scalability bottlenecks in multi-threaded applications., , , und . OOPSLA, Seite 355-372. ACM, (2013)