Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

Keine Personen gefunden für den Autorennamen Llosa, Josep
Eine Person hinzufügen mit dem Namen Llosa, Josep
 

Weitere Publikationen von Autoren mit dem selben Namen

Optimizing Program Locality Through CMEs and GAs., , , und . IEEE PACT, Seite 68-78. IEEE Computer Society, (2003)Software and Hardware Techniques to Optimize Register File Utilization in VLIW Architectures., , , und . International Journal of Parallel Programming, 32 (6): 447-474 (2004)Register Constrained Modulo Scheduling., , , und . IEEE Trans. Parallel Distrib. Syst., 15 (5): 417-430 (2004)Performance and Power Evaluation of Clustered VLIW Processors with Wide Functional Units., , , , und . SAMOS, Volume 3133 von Lecture Notes in Computer Science, Seite 88-97. Springer, (2004)Improved spill code generation for software pipelined loops., , , und . PLDI, Seite 134-144. ACM, (2000)Increasing Memory Bandwidth with Wide Buses: Compiler, Hardware and Performance Trade-Offs., , , und . International Conference on Supercomputing, Seite 12-19. ACM, (1997)A comparative study of modulo scheduling techniques., , und . ICS, Seite 97-106. ACM, (2002)Distributed Modulo Scheduling., , und . HPCA, Seite 130-134. IEEE Computer Society, (1999)Heuristics for Register-Constrained Software Pipelining., , und . MICRO, Seite 250-261. ACM/IEEE Computer Society, (1996)A fast and accurate framework to analyze and optimize cache memory behavior., , , und . ACM Trans. Program. Lang. Syst., 26 (2): 263-300 (2004)