Author of the publication

Modeling the faulty behaviour of digital designs using a feed forward neural network approach.

, , and . ISCAS, page 1518-1521. IEEE, (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Savaria, Yvon
add a person with the name Savaria, Yvon
 

Other publications of authors with the same name

Fast parameters optimization of an iterative decoder using a configurable hardware accelerator., , , , , and . ISCAS (4), page 4159-4162. IEEE, (2005)Design and validation of a novel reconfigurable and defect tolerant JTAG scan chain., , , and . ISCAS, page 2559-2562. IEEE, (2014)High-voltage operational amplifier based on dual floating-gate transistors., , , and . ISCAS, IEEE, (2006)Shunt-peaking in MCML gates and its application in the design of a 20 Gb/s half-rate phase detector., and . ISCAS (4), page 369-372. IEEE, (2004)Spurs modeling in direct digital period synthesizers related to phase accumulator truncation., , and . ISCAS (3), page 389-392. IEEE, (2004)A netlist pruning tool for an electronic system prototyping platform., , , and . NEWCAS, page 1-4. IEEE, (2013)A methodology for system-level fault injection based on gate-level faulty behavior., , , and . NEWCAS, page 1-4. IEEE, (2013)A current-output DAC for low-power low-noise log-domain ΔΣ modulators., , and . NEWCAS, page 281-284. IEEE, (2014)Power-efficient hardware architecture for computing Split-Radix FFTs on highly sparsed spectrum., , , and . NEWCAS, page 1-4. IEEE, (2015)A Configurable Multi-Rail Power and I/O Pad Applied to Wafer-Scale Systems., , , and . IEEE Trans. on Circuits and Systems, 61-I (11): 3135-3144 (2014)