Author of the publication

CHIPS: Custom Hardware Instruction Processor Synthesis.

, , , , and . IEEE Trans. on CAD of Integrated Circuits and Systems, 27 (3): 528-541 (2008)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Atasu, Kubilay
add a person with the name Atasu, Kubilay
 

Other publications of authors with the same name

Token-based dictionary pattern matching for text analytics., , and . FPL, page 1-6. IEEE, (2013)A hardware compilation framework for text analytics queries., , , , , , , and . J. Parallel Distrib. Comput., (2018)Low-Complexity Data-Parallel Earth Mover's Distance Approximations., and . CoRR, (2018)High-Performance Recommender System Training Using Co-Clustering on CPU/GPU Clusters., , , , and . ICPP, page 372-381. IEEE Computer Society, (2017)Large-Scale Stochastic Learning Using GPUs., , , , and . IPDPS Workshops, page 419-428. IEEE Computer Society, (2017)Optimizing instruction-set extensible processors under data bandwidth constraints., , , , , and . DATE, page 588-593. EDA Consortium, San Jose, CA, USA, (2007)Fast custom instruction identification by convex subgraph enumeration., , , , and . ASAP, page 1-6. IEEE Computer Society, (2008)Giving Text Analytics a Boost., , , , , , , and . CoRR, (2018)An integer linear programming approach for identifying instruction-set extensions., , and . CODES+ISSS, page 172-177. ACM, (2005)Linear-complexity relaxed word Mover's distance with GPU acceleration., , , , , , , , and . BigData, page 889-896. IEEE Computer Society, (2017)