Author of the publication

Energy-efficient concurrent testing approach for many-core systems in the dark silicon age.

, , , , and . DFT, page 270-275. IEEE Computer Society, (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Accelerated On-chip Communication Test Methodology Using a Novel High-Level Fault Model., , , , , and . MCSoC, page 283-288. IEEE, (2015)Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era., , , , and . IEEE Trans. Computers, 66 (9): 1599-1612 (2017)Object Detection Based on Multi-sensor Proposal Fusion in Maritime Environment., , , , , and . ICMLA, page 971-976. IEEE, (2018)Approximation for Run-time Power Management., , , and . ISCAS, page 1-4. IEEE, (2018)Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era., , , , , and . IEEE Trans. VLSI Syst., 25 (2): 427-440 (2017)A Power-Aware Approach for Online Test Scheduling in Many-Core Architectures., , , , , , and . IEEE Trans. Computers, 65 (3): 730-743 (2016)Approximation knob: power capping meets energy efficiency., , , , , , and . ICCAD, page 122. ACM, (2016)Power-aware online testing of manycore systems in the dark silicon era., , , , , , and . DATE, page 435-440. ACM, (2015)Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach., , , , , , , and . ISLPED, page 219-224. IEEE, (2015)Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems., , , , and . DFTS, page 35-40. IEEE Computer Society, (2015)