Author of the publication

Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity

, , , and . 2023 IEEE International Reliability Physics Symposium (IRPS), IEEE, (2023)
DOI: 10.1109/IRPS48203.2023.10117810

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories, , , , , , , , and . 2023 IEEE 41st VLSI Test Symposium (VTS), Piscataway, NJ, IEEE, (2023)Cross-Layer Reliability Modeling of Dual-Port FeFET : Device-Algorithm Interaction, , , , and . IEEE transactions on circuits and systems. 1, Fundamental theory and applications, 70 (7): 2891-2903 (2023)Cross-layer Design for Computing-in-Memory : From Devices, Circuits, to Architectures and Applications, , , , , , , and . ASPDAC '21 : Proceedings of the 26th Asia and South Pacific Design Automation Conference, page 132-139. New York, Association for Computing Machinery, (2021)Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks, , , , and . 2021 IEEE 39th VLSI Test Symposium (VTS), Piscataway, IEEE, (2021)Joint Modeling of Multi-Domain Ferroelectric and Distributed Channel towards Unveiling the Asymmetric Abrupt DC Current Jump in Ferroelectric FET, , and . ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference (ESSDERC), page 336-339. Piscataway, NJ, IEEE, (2022)On the Reliability of In-Memory Computing : Impact of Temperature on Ferroelectric TCAM, , , , , , and . Proceedings 2021 IEEE 39th VLSI Test Symposium (VTS), Piscataway, IEEE, (2021)Comprehensive Variability Analysis in Dual-Port FeFET for Reliable Multi-Level-Cell Storage, , , , and . IEEE transactions on electron devices, 69 (9): 5316-5323 (2022)HW/SW Codesign for Approximate In-Memory Computing, , and . 2022 23rd International Symposium on Quality Electronic Design (ISQED), Piscataway, IEEE, (2022)Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs, , , , and . 2023 60th ACM/IEEE Design Automation Conference (DAC), page 1-6. Piscataway, NJ, IEEE, (2023)Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications, , , , and . 2022 IEEE International Reliability Physics Symposium (IRPS), Piscataway, IEEE, (2022)