Author of the publication

An Efficient Sequential SAT Solver With Improved Search Strategies.

, , , , , and . DATE, page 1102-1107. IEEE Computer Society, (2005)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Parthasarathy, Ganapathy
add a person with the name Parthasarathy, Ganapathy
 

Other publications of authors with the same name

Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver., , and . DATE, page 666-671. IEEE Computer Society, (2005)An efficient finite-domain constraint solver for circuits., , , and . DAC, page 212-217. ACM, (2004)RTL SAT simplification by Boolean and interval arithmetic reasoning., , , and . ICCAD, page 297-302. IEEE Computer Society, (2005)A comparison of BDDs, BMC, and sequential SAT for model checking., , , and . HLDVT, page 157-162. IEEE Computer Society, (2003)An Efficient Sequential SAT Solver With Improved Search Strategies., , , , , and . DATE, page 1102-1107. IEEE Computer Society, (2005)Efficient reachability checking using sequential SAT., , , and . ASP-DAC, page 418-423. IEEE Computer Society, (2004)Structural search for RTL with predicate learning., , , and . DAC, page 451-456. ACM, (2005)SATORI - A Fast Sequential SAT Engine for Circuits., , and . ICCAD, page 320-325. IEEE Computer Society / ACM, (2003)Towards Simultaneous Delay-Fault Built-In Self-Test and Partial-Scan Insertion., and . VTS, page 210-217. IEEE Computer Society, (1998)An analysis of ATPG and SAT algorithms for formal verification., , and . HLDVT, page 177-182. IEEE Computer Society, (2001)