Publications

Karthik Pandaram, Paul R. Genssler, and Hussam Amrouch. WaSSaBi: Wafer Selection With Self-Supervised Representations and Brain-Inspired Active Learning. IEEE transactions on circuits and systems i-regular papers, (71)4:1808, IEEE, 2024. [PUMA: mult ubs_10005 ubs_20008 ubs_30085 ubs_40304 ubs_40416 unibibliografie wos]

Tianming Ni, Xiaoqing Wen, Hussam Amrouch, Cheng Zhuo, and Peilin Song. Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware. ACM transactions on design automation of electronic systems, (29)1:1-3, Association for Computing Machinery, 2024. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Shubham Kumar, Paul R. Genssler, Somaya Mansour, Yogesh Singh Chauhan, and Hussam Amrouch. Frontiers in AI Acceleration : From Approximate Computing to FeFET Monolithic 3D Integration. 2023 IFIP/IEEE 31st International Conference on Very Large Scale Integration (VLSI-SoC), 1-6, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Somayeh Sadeghi-Kohan, Jan Dennis Reimer, Sybille Hellebrand, and Hans-Joachim Wunderlich. Optimizing the Streaming of Sensor Data with Approximate Communication. 2023 IEEE 32nd Asian Test Symposium (ATS), IEEE, 2023. [PUMA: sent ubs_10005 ubs_20008 ubs_30085 unibibliografie]

Munazza Sayed Afaque, Kai Ni, and Hussam Amrouch. Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE journal on exploratory solid-state computational devices and circuits, (9)2:143-150, IEEE, 2023. [PUMA: f2023 gold oa oafonds ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie]

Hamza Errahmouni Barkam, Sanggeon Yun, Hanning Chen, Paul Gensler, Albi Mema, Andrew Ding, George Michelogiannakis, Hussam Amrouch, and Mohsen Imani. Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Shubham Kumar, Yogesh Singh Chauhan, and Hussam Amrouch. Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Taha Soliman, Swetaki Chatterjee, Nellie Laleni, Franz Müller, Tobias Kirchner, Norbert Wehn, Thomas Kämpfe, Yogesh Singh Chauhan, and Hussam Amrouch. First demonstration of in-memory computing crossbar using multi-level Cell FeFET. Nature communications, (14):6348, Nature Publishing Group, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Jonas Krautter, Paul R. Genßler, Gloria Sepanta, Hussam Amrouch, and Mehdi Tahoori. Stress-resiliency of AI Implementations on FPGAs. In N. Mentens, L. Sousa, P. Trancoso, N. Papadopoulou, and I. Sourdis (Eds.), 2023 33rd International Conference on Field-Programmable Logic and Applications (FPL), 333-338, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Zijian Zhao, Shan Deng, Swetaki Chatterjee, Zhouhang Jiang, Muhammad Shaffatul Islam, Yi Xiao, Yixin Xu, Scott Meninger, Mohamed Mohamed, Rajiv Joshi, Yogesh Singh Chauhan, Halid Mulaosmanovic, Stefan Duenkel, Dominik Kleimaier, Sven Beyer, Hussam Amrouch, Vijaykrishnan Narayanan, and Kai Ni. Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET. ACS applied materials & interfaces, (15)47:54602-54610, American Chemical Society, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Shubham Kumar, Om Prakash, Yogesh Singh Chauhan, and Hussam Amrouch. BEOL FeFET SPICE-Compatible Model for Benchmarking 3-D Monolithic In-Memory TCAM Computation. IEEE transactions on electron devices, (70)12:6286-6292, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Swetaki Chatterjee, Yogesh Singh Chauhan, and Hussam Amrouch. Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock Tuning. IEEE electron device letters, (44)11:1907-1910, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Shubham Kumar, Simon Thomann, Om Prakash, Kai Ni, Yogesh Singh Chauhan, and Hussam Amrouch. Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration. IEEE transactions on electron devices, (71)1:368-373, IEEE, 2024. [PUMA: ubs_10020008 ubs_30085 unibibliografie wos]

Liu Liu, Shubham Kumar, Simon Thomann, Hussam Amrouch, and Xiaobo Sharon Hu. Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. 2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, IEEE, Piscataway, NJ, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Vahidreza Moghaddas, Hammam Kattan, Tim Bücher, Mikail Yayla, Jian-Jia Chen, and Hussam Amrouch. Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 1-6, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Victor M. van Santen, Marcel Walter, Florian Klemme, Shivendra Singh Parihar, Girish Pahwa, Yogesh S. Chauhan, Robert Wille, and Hussam Amrouch. Design Automation for Cryogenic CMOS Circuits. 2023 60th ACM/IEEE Design Automation Conference (DAC), IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Florian Neugebauer, Vivek Vekariya, Ilia Polian, and John P. Hayes. Stochastic Computing as a Defence Against Adversarial Attacks. 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks workshops volume, 191-194, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40304 unibibliografie wos]

Ilia Polian, Nan Du, and Werner Schindler. Overview of Memristive Cryptography. NEWCAS 2023 conference proceedings, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40304 unibibliografie wos]

Alberto Bosio, Lara Dolecek, Alexandra Kourfali, Sri Parameswaran, and Alessandro Savino. Special Issue: “Approximation at the Edge”. ACM transactions on embedded computing systems, (22)4:72, Association for Computing Machinery, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Natalia Lylina, Stefan Holst, Hanieh Jafarzadeh, Alexandra Kourfali, and Hans-Joachim Wunderlich. Guardband Optimization for the Preconditioned Conjugate Gradient Algorithm. 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks workshops volume, 195-198, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie wos]