Publications

Munazza Sayed, Kai Ni, and Hussam Amrouch. Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE journal on exploratory solid-state computational devices and circuits, (9)2:143-150, IEEE, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Hamza Errahmouni Barkam, Sanggeon Yun, Hanning Chen, Paul Gensler, Albi Mema, Andrew Ding, George Michelogiannakis, Hussam Amrouch, and Mohsen Imani. Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Shubham Kumar, Yogesh Singh Chauhan, and Hussam Amrouch. Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Taha Soliman, Swetaki Chatterjee, Nellie Laleni, Franz Müller, Tobias Kirchner, Norbert Wehn, Thomas Kämpfe, Yogesh Singh Chauhan, and Hussam Amrouch. First demonstration of in-memory computing crossbar using multi-level Cell FeFET. Nature communications, (14):6348, Nature Publishing Group, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Jonas Krautter, Paul R. Genßler, Gloria Sepanta, Hussam Amrouch, and Mehdi Tahoori. Stress-resiliency of AI Implementations on FPGAs. In N. Mentens, L. Sousa, P. Trancoso, N. Papadopoulou, and I. Sourdis (Eds.), 2023 33rd International Conference on Field-Programmable Logic and Applications (FPL), 333-338, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Zijian Zhao, Shan Deng, Swetaki Chatterjee, Zhouhang Jiang, Muhammad Shaffatul Islam, Yi Xiao, Yixin Xu, Scott Meninger, Mohamed Mohamed, Rajiv Joshi, Yogesh Singh Chauhan, Halid Mulaosmanovic, Stefan Duenkel, Dominik Kleimaier, Sven Beyer, Hussam Amrouch, Vijaykrishnan Narayanan, and Kai Ni. Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET. ACS applied materials & interfaces, (15)47:54602-54610, American Chemical Society, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Shubham Kumar, Om Prakash, Yogesh Singh Chauhan, and Hussam Amrouch. BEOL FeFET SPICE-Compatible Model for Benchmarking 3-D Monolithic In-Memory TCAM Computation. IEEE transactions on electron devices, (70)12:6286-6292, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Swetaki Chatterjee, Yogesh Singh Chauhan, and Hussam Amrouch. Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock Tuning. IEEE electron device letters, (44)11:1907-1910, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Liu Liu, Shubham Kumar, Simon Thomann, Hussam Amrouch, and Xiaobo Sharon Hu. Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. 2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, IEEE, Piscataway, NJ, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Vahidreza Moghaddas, Hammam Kattan, Tim Bücher, Mikail Yayla, Jian-Jia Chen, and Hussam Amrouch. Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 1-6, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Victor M. van Santen, Marcel Walter, Florian Klemme, Shivendra Singh Parihar, Girish Pahwa, Yogesh S. Chauhan, Robert Wille, and Hussam Amrouch. Design Automation for Cryogenic CMOS Circuits. 2023 60th ACM/IEEE Design Automation Conference (DAC), IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Alberto Bosio, Lara Dolecek, Alexandra Kourfali, Sri Parameswaran, and Alessandro Savino. Special Issue: “Approximation at the Edge”. ACM transactions on embedded computing systems, (22)4:72, Association for Computing Machinery, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Natalia Lylina, Stefan Holst, Hanieh Jafarzadeh, Alexandra Kourfali, and Hans-Joachim Wunderlich. Guardband Optimization for the Preconditioned Conjugate Gradient Algorithm. 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks workshops volume, 195-198, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie wos]

Om Prakash, Rodion Novkin, Virinchi Roy Surabhi, Prashanth Krishnamurthy, Ramesh Karri, Farshad Khorrami, and Hussam Amrouch. Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning. IEEE ISCAS 2023 symposium proceedings, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Florian Neugebauer, Vivek Vekariya, Ilia Polian, and John P. Hayes. Stochastic Computing as a Defence Against Adversarial Attacks. 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks workshops volume, 191-194, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40304 unibibliografie wos]

Ilia Polian, Nan Du, and Werner Schindler. Overview of Memristive Cryptography. NEWCAS 2023 conference proceedings, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40304 unibibliografie wos]

Hans-Joachim Wunderlich, Hanieh Jafarzadeh, Alexandra Kourfali, Natalia Lylina, and Zahra Paria Najafi-Haghi. Test Aspects of System Health State Monitoring. 24th IEEE Latin American Test Symposium, IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie wos]

Mikail Yayla, Simon Thomann, Md Mazharul Islam, Ming-Liang Wei, Shu-Yin Ho, Ahmedullah Aziz, Chia-Lin Yang, Jian-Jia Chen, and Hussam Amrouch. Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories. In Marcello Traiola (Eds.), 2023 IEEE 41st VLSI Test Symposium (VTS), IEEE, Piscataway, NJ, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Florian Klemme, and Hussam Amrouch. Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs. IEEE transactions on circuits and systems. 1, Fundamental theory and applications, (70)12:5366-5379, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Shivendra Singh Parihar, Swetaki Chatterjee, Girish Pahwa, Yogesh Singh Chauhan, and Hussam Amrouch. Modeling and Benchmarking 5nm Ferroelectric FinFET from Room Temperature down to Cryogenic Temperatures. 2023 IEEE 23rd International Conference on Nanotechnology (NANO), 643-648, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]