Author of the publication

Constrained pattern assignment for standard cell based triple patterning lithography.

, , , , and . ICCAD, page 178-185. IEEE, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A polynomial time exact algorithm for self-aligned double patterning layout decomposition., , , and . ISPD, page 17-24. ACM, (2012)Directed Self-Assembly (DSA) Template Pattern Verification., , , , , , and . DAC, page 55:1-55:6. ACM, (2014)Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time., , , and . ICCAD, page 32-39. IEEE, (2013)Polynomial time optimal algorithm for stencil row planning in e-beam lithography., , and . ASP-DAC, page 658-664. IEEE, (2015)Contact pitch and location prediction for Directed Self-Assembly template verification., , , , , and . ASP-DAC, page 644-651. IEEE, (2015)A Novel Method of Human Tracking Based on Stereo Vision., and . CCIS, page 883-889. IEEE, (2018)Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography., , , , , , and . DAC, page 93:1-93:6. ACM, (2013)Mask cost reduction with circuit performance consideration for self-aligned double patterning., , , and . ASP-DAC, page 787-792. IEEE, (2011)Layout small-angle rotation and shift for EUV defect mitigation., , , , and . ICCAD, page 43-49. ACM, (2012)Self-aligned double patterning decomposition for overlay minimization and hot spot detection., , , and . DAC, page 71-76. ACM, (2011)