Author of the publication

A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources.

, and . IEEE Trans. Parallel Distrib. Syst., 12 (12): 1219-1235 (2001)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

Dr. -Ing. Daniel Markthaler University of Stuttgart

Replication Data for: Biocatalytic stereocontrolled head-to-tail cyclizations of unbiased terpenes as a tool in chemoenzymatic synthesis, and . Dataset, (2024)Related to: Schneider, Andreas; Lystbæk, Thomas B.; Markthaler, Daniel; Hansen, Niels; Hauer, Bernhard (2024): Biocatalytic stereocontrolled head-to-tail cyclizations of unbiased terpenes as a tool in chemoenzymatic synthesis. In: Nature Communications, 15, 4925. doi: 10.1038/s41467-024-48993-9.
 

Other publications of authors with the same name

An Efficient and Deadlock-Free Network Reconfiguration Protocol., , , , , and . IEEE Trans. Computers, 57 (6): 762-779 (2008)A Design Methodology for Efficient Application-Specific On-Chip Interconnects., and . IEEE Trans. Parallel Distrib. Syst., 17 (2): 174-190 (2006)A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources., and . IEEE Trans. Parallel Distrib. Syst., 12 (12): 1219-1235 (2001)InfiniBand: The "De Facto" Future Standard for System and Local Area Networks or Just a Scalable Replacement for PCI Buses?, , , , and . Cluster Computing, 6 (2): 95-104 (2003)NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers., and . MICRO, page 270-281. IEEE Computer Society, (2012)A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime., , , and . ISCA, page 353-362. IEEE Computer Society, (2008)On the InfiniBand Subnet Discovery Process., , , , and . CLUSTER, page 512-. IEEE Computer Society, (2003)On Message.Dependent Deadlocks in Multiprocessor/Multicomputer Systems., and . HiPC, volume 1970 of Lecture Notes in Computer Science, page 345-354. Springer, (2000)On Deadlocks in Interconnection Networks., and . ISCA, page 38-49. ACM, (1997)Flexible and Efficient Routing Based on Progressive Deadlock Recovery.. IEEE Trans. Computers, 48 (7): 649-669 (1999)