Author of the publication

Meeting points: using thread criticality to adapt multicore hardware to parallel regions.

, , , , , and . PACT, page 240-249. ACM, (2008)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Magklis, Grigorios
add a person with the name Magklis, Grigorios
 

Other publications of authors with the same name

Frontend Frequency-Voltage Adaptation for Optimal Energy-Delay^2., , and . ICCD, page 250-255. IEEE Computer Society, (2004)Energy efficiency via thread fusion and value reuse., , , , , and . IET Computers & Digital Techniques, 4 (2): 114-125 (2010)On using network RAM as a non-volatile buffer., , , and . Cluster Computing, 2 (4): 295-303 (1999)Processor Microarchitecture: An Implementation Perspective, , and . Synthesis Lectures on Computer Architecture Morgan & Claypool Publishers, (2010)Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems., , , , and . ISLPED, page 379-384. IEEE/ACM, (2011)CROB: Implementing a Large Instruction Window through Compression., , , , and . Trans. HiPEAC, (2011)Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power., , , , , , , and . IEEE PACT, page 141-152. IEEE Computer Society, (2002)Distributing the Frontend for Temperature Reduction., , , and . HPCA, page 61-70. IEEE Computer Society, (2005)Dynamic Frequency and Voltage Scaling for a Multiple-Clock-Domain Microprocessor., , , , , and . IEEE Micro, 23 (6): 62-68 (2003)Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors., , , , , and . TACO, 7 (2): 9:1-9:25 (2010)