Author of the publication

Static test compaction for synchronous sequential circuits based on vector restoration.

, , and . IEEE Trans. on CAD of Integrated Circuits and Systems, 18 (7): 1040-1049 (1999)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Pomeranz, Irith
add a person with the name Pomeranz, Irith
 

Other publications of authors with the same name

Built-in generation of multi-cycle broadside tests.. DFT, page 146-151. IEEE Computer Society, (2012)A-Diagnosis: A Complement to Z-Diagnosis., and . DFT, page 235-242. IEEE Computer Society, (2007)Gradual Diagnostic Test Generation Based on the Structural Distance between Indistinguished Fault Pairs., and . DFT, page 349-357. IEEE Computer Society, (2010)GALLOP: Genetic Algorithm based Low Power FSM Synthesis by Simultaneous Partitioning and State Assignment., , and . VLSI Design, page 533-538. IEEE Computer Society, (2003)On Common-Mode Skewed-Load and Broadside Tests., , and . VLSI Design, page 151-156. IEEE Computer Society, (2008)Design-for-Testability for Synchronous Sequential Circuits that Maintains Functional Switching Activity., and . VLSI Design, page 181-186. IEEE Computer Society, (2008)Test Transformation to Improve Compaction by Statistical Encoding., , , and . VLSI Design, page 294-299. IEEE Computer Society, (2000)On Synchronizing Sequences and Unspecified Values in Output Responses of Synchronous Sequential Circuits., and . VLSI Design, page 392-397. IEEE Computer Society, (2000)Low Shift and Capture Power Scan Tests., , , , and . VLSI Design, page 793-798. IEEE Computer Society, (2007)On the Detection of Reset Faults in Synchronous Sequential Circuits., and . VLSI Design, page 470-474. IEEE Computer Society, (1997)