Author of the publication

Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems.

, , and . ICCAD, page 209-214. IEEE Computer Society / ACM, (2003)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Chakrabarty, Krishnendu
add a person with the name Chakrabarty, Krishnendu
 

Other publications of authors with the same name

Deterministic test for the reproduction and detection of board-level functional failures., , , and . ASP-DAC, page 491-496. IEEE, (2011)Efficient Board-Level Functional Fault Diagnosis With Missing Syndromes., , , , and . IEEE Trans. on CAD of Integrated Circuits and Systems, 35 (6): 985-998 (2016)Thermal-aware TSV repair for electromigration in 3D ICs., , and . DATE, page 1291-1296. IEEE, (2016)At-speed interconnect testing and test-path optimization for 2.5D ICs., , and . VTS, page 1-6. IEEE Computer Society, (2014)Electronic Design Methods and Technologies for Green Buildings.. IEEE Design & Test of Computers, 29 (4): 4 (2012)A Digital-Microfluidic Approach to Chip Cooling., , and . IEEE Design & Test of Computers, 25 (4): 372-381 (2008)RTL DFT techniques to enhance defect coverage for functional test sequences., , and . HLDVT, page 160-165. IEEE Computer Society, (2009)Offline Washing Schemes for Residue Removal in Digital Microfluidic Biochips., , , , and . ACM Trans. Design Autom. Electr. Syst., 21 (1): 17:1-17:33 (2015)Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection., , , and . ACM Trans. Design Autom. Electr. Syst., 20 (3): 39:1-39:23 (2015)Fault-Tolerant Unicast-Based Multicast for Reliable Network-on-Chip Testing., , and . ACM Trans. Design Autom. Electr. Syst., 23 (6): 73:1-73:23 (2018)