Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Tsai, Jeng-Liang
add a person with the name Tsai, Jeng-Liang
 

Other publications of authors with the same name

Statistical timing analysis driven post-silicon-tunable clock-tree synthesis., and . ICCAD, page 575-581. IEEE Computer Society, (2005)Yield-Driven, False-Path-Aware Clock Skew Scheduling., , , and . IEEE Design & Test of Computers, 22 (3): 214-222 (2005)Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method., , , and . ISCAS, IEEE, (2006)Temperature-Aware Placement for SOCs., , , , , , , , and . Proceedings of the IEEE, 94 (8): 1502-1518 (2006)Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops., , , , and . ASP-DAC, page 941-946. IEEE, (2006)Sensitivity guided net weighting for placement driven synthesis., , and . ISPD, page 124-131. ACM, (2004)False Path and Clock Scheduling Based Yield-Aware Gate Sizing., , , and . VLSI Design, page 423-426. IEEE Computer Society, (2005)HiSIM: hierarchical interconnect-centric circuit simulator., , and . ICCAD, page 489-496. IEEE Computer Society / ACM, (2004)A yield improvement methodology using pre- and post-silicon statistical clock scheduling., , , and . ICCAD, page 611-618. IEEE Computer Society / ACM, (2004)Thermal and Power Integrity Based Power/Ground Networks Optimization., , and . DATE, page 830-835. IEEE Computer Society, (2004)