Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Chakrabarty, Krishnendu
add a person with the name Chakrabarty, Krishnendu
 

Other publications of authors with the same name

Test pin count reduction for NoC-based Test delivery in multicore SOCs., and . DATE, page 787-792. IEEE, (2012)Test and Diagnosis for Small-Delay Defects., , and . Springer, (2011)Design and Testing of Digital Microfluidic Biochips., and . Springer, (2012)Synthesis Of Transparent Circuits For Hierarchical An System-On-A-Chip Test., , and . VLSI Design, page 431-. IEEE Computer Society, (2001)A Robust and Reconfigurable Multi-mode Power Gating Architecture., , , and . VLSI Design, page 280-285. IEEE Computer Society, (2011)Correctness Checking of Bio-chemical Protocol Realizations on a Digital Microfluidic Biochip., , , and . VLSI Design, page 504-509. IEEE Computer Society, (2014)Built-in self-test for interposer-based 2.5D ICs., , and . ICCD, page 181-188. IEEE Computer Society, (2014)Ranking of Suspect Faulty Blocks Using Dataflow Analysis and Dempster-Shafer Theory for the Diagnosis of Board-Level Functional Failures., , , and . European Test Symposium, page 195-200. IEEE Computer Society, (2011)Diagnostic system based on support-vector machines for board-level functional diagnosis., , , , , and . European Test Symposium, page 1-6. IEEE Computer Society, (2012)Microfluidic very large-scale integration for biochips: Technology, testing and fault-tolerant design., , and . ETS, page 1-8. IEEE, (2015)