Author of the publication

RACFP: a training tool to work with floating-point representation, algorithms, and circuits in undergraduate courses.

, , , and . IEEE Trans. Education, 49 (3): 321-331 (2006)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

No persons found for author name Sahuquillo, Julio
add a person with the name Sahuquillo, Julio
 

Other publications of authors with the same name

Two management approaches of the split data cache in multiprocessor systems., and . PDP, page 301-308. IEEE Computer Society, (2000)Analysis of Web-Proxy Cache Replacement Algorithms under Steady-state Conditions., , , and . WEBIST (1), page 253-260. INSTICC Press, (2007)Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache., and . EUROMICRO, page 10333-10336. IEEE Computer Society, (1998)Designing Competitive Coherence Protocols Taking Advantage of Reuse Information., and . EUROMICRO, page 1378-1385. IEEE Computer Society, (2000)Efficiently Handling Memory Accesses to Improve QoS in Multicore Systems under Real-Time Constraints., , , , and . SBAC-PAD, page 286-293. IEEE Computer Society, (2012)Characterizing the Dynamic Behavior of Workload Execution in SVM systems., , , and . SBAC-PAD, page 230-237. IEEE Computer Society, (2004)A Research-Oriented Course on Advanced Multicore Architecture., , , and . IPDPS Workshops, page 760-765. IEEE Computer Society, (2015)Bandwidth-Aware On-Line Scheduling in SMT Multicores., , , and . IEEE Trans. Computers, 65 (2): 422-434 (2016)Energy Behaviour of NUCA Caches in CMPs., , , , and . DSD, page 746-753. IEEE Computer Society, (2011)Perf&Fair: A Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores., , , and . IEEE Trans. Computers, 66 (5): 905-911 (2017)