Publications

Munazza Sayed, Kai Ni, and Hussam Amrouch. Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE journal on exploratory solid-state computational devices and circuits, (9)2:143-150, IEEE, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Munazza Sayed Afaque, Kai Ni, and Hussam Amrouch. Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE journal on exploratory solid-state computational devices and circuits, (9)2:143-150, IEEE, 2023. [PUMA: f2023 gold oa oafonds ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie]

Hamza Errahmouni Barkam, Sanggeon Yun, Hanning Chen, Paul Gensler, Albi Mema, Andrew Ding, George Michelogiannakis, Hussam Amrouch, and Mohsen Imani. Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Shubham Kumar, Yogesh Singh Chauhan, and Hussam Amrouch. Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration. 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 2023, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Taha Soliman, Swetaki Chatterjee, Nellie Laleni, Franz Müller, Tobias Kirchner, Norbert Wehn, Thomas Kämpfe, Yogesh Singh Chauhan, and Hussam Amrouch. First demonstration of in-memory computing crossbar using multi-level Cell FeFET. Nature communications, (14):6348, Nature Publishing Group, 2023. [PUMA: oa ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Jonas Krautter, Paul R. Genßler, Gloria Sepanta, Hussam Amrouch, and Mehdi Tahoori. Stress-resiliency of AI Implementations on FPGAs. In N. Mentens, L. Sousa, P. Trancoso, N. Papadopoulou, and I. Sourdis (Eds.), 2023 33rd International Conference on Field-Programmable Logic and Applications (FPL), 333-338, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 ubs_40416 unibibliografie wos]

Zijian Zhao, Shan Deng, Swetaki Chatterjee, Zhouhang Jiang, Muhammad Shaffatul Islam, Yi Xiao, Yixin Xu, Scott Meninger, Mohamed Mohamed, Rajiv Joshi, Yogesh Singh Chauhan, Halid Mulaosmanovic, Stefan Duenkel, Dominik Kleimaier, Sven Beyer, Hussam Amrouch, Vijaykrishnan Narayanan, and Kai Ni. Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET. ACS applied materials & interfaces, (15)47:54602-54610, American Chemical Society, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Shubham Kumar, Om Prakash, Yogesh Singh Chauhan, and Hussam Amrouch. BEOL FeFET SPICE-Compatible Model for Benchmarking 3-D Monolithic In-Memory TCAM Computation. IEEE transactions on electron devices, (70)12:6286-6292, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Swetaki Chatterjee, Yogesh Singh Chauhan, and Hussam Amrouch. Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock Tuning. IEEE electron device letters, (44)11:1907-1910, IEEE, 2023. [PUMA: ubs_10005 ubs_20008 ubs_30085 unibibliografie wos]

Shubham Kumar, Simon Thomann, Om Prakash, Kai Ni, Yogesh Singh Chauhan, and Hussam Amrouch. Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration. IEEE transactions on electron devices, (71)1:368-373, IEEE, 2024. [PUMA: ubs_10020008 ubs_30085 unibibliografie wos]