Publications

Hongyan Zhang, Michael A. Kochte, Eric Schneider, Lars Bauer, Hans-Joachim Wunderlich, und Jörg Henkel. STRAP: Stress-Aware Placement for aging mitigation in runtime reconfigurable architectures. In Diana Marculescu, und Frank Liu (Hrsg.), Proceedings of the 34th IEEE/ACM International Conference on Computer-Aided Design (ICCAD'15), Austin, Texas, USA, 2-6 November 2015, 38-45, IEEE, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Rafal Baranowski, Farshad Firouzi, Saman Kiamehr, Chang Liu, Mehdi Baradaran Tahoori, und Hans-Joachim Wunderlich. On-line prediction of NBTI-induced aging rates. In Wolfgang Nebel, und David Atienza (Hrsg.), 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE 2015), 589-592, IEEE, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Matthias Kampmann, Michael A. Kochte, Eric Schneider, Thomas Indlekofer, Sybille Hellebrand, und Hans-Joachim Wunderlich. Optimized selection of frequencies for faster-than-at-speed test. 2015 IEEE 24th Asian Test Symposium (ATS 2015), 109-114, IEEE Computer Society, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

K. Asada, Xiaoqing Wen, Stefan Holst, Kohei Miyase, Seiji Kajihara, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, und J. Qian. Logic/clock-path-aware at-speed scan test generation for avoiding false capture failures and reducing clock stretch. 2015 IEEE 24th Asian Test Symposium (ATS 2015), 103-108, IEEE Computer Society, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Michael A. Kochte, Atefe Dalirsani, Andrea Bernabei, Martin Omaña, Cecilia Metra, und Hans-Joachim Wunderlich. Intermittent and transient fault diagnosis on sparse code signatures. 2015 IEEE 24th Asian Test Symposium (ATS 2015), 157-162, IEEE Computer Society, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Alexander Schöll, Claus Braun, Michael A. Kochte, und Hans-Joachim Wunderlich. Low-overhead fault-tolerance for the preconditioned conjugate gradient solver. 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS 2015), 60-65, IEEE Computer Society, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Hans-Joachim Wunderlich, und Martin Radetzki. Multi-layer test and diagnosis for dependable NoCs. In André Ivanov, Diana Marculescu, Partha Pratim Pande, José Flich, und Karthik Pattabiraman (Hrsg.), NOCS : proceedings : 2015 ninth IEEE/ACM International Symposium on Networks-on-Chip, 5:1-5:8, ACM, New York, 2015. [PUMA: hp mult ubs_10005 ubs_20008 ubs_30085 ubs_40125 ubs_40126 unibibliografie]

Eric Schneider, Michael A. Kochte, und Hans-Joachim Wunderlich. Hochbeschleunigte Simulation von Verzögerungsfehlern unter Prozessvariationen. 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Eric Schneider, Stefan Holst, Michael A. Kochte, Xiaoqing Wen, und Hans-Joachim Wunderlich. GPU-accelerated small delay fault simulation. In Wolfgang Nebel, und David Atienza (Hrsg.), 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1174-1179, IEEE, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Claus Braun, und Hans-Joachim Wunderlich. ABFT with probabilistic error bounds for approximate and adaptive-precision computing applications. 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Chang Liu, Michael A. Kochte, und Hans-Joachim Wunderlich. Efficient observation point selection for aging monitoring. Proceedings of the 21st IEEE International On-Line Testing Symposium, 176-181, IEEE, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

S. Hellebrand, T. Indlekofer, M. Kampmann, Michael A. Kochte, Chang Liu, und Hans-Joachim Wunderlich. Effiziente Auswahl von Testfrequenzen für den Test kleiner Verzögerungsfehler. 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Alexander Schöll, Claus Braun, Michael A. Kochte, und Hans-Joachim Wunderlich. Efficient on-line fault-tolerance for the preconditioned conjugate gradient method. Proceedings of the 21st IEEE International On-Line Testing Symposium, 95-100, IEEE, Piscataway, NJ, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Dominik Ull. Software-based self-test generation for complex pipeline structures. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Michael Kochte. Fault diagnosis using code signatures. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Laura Rodriguez Gómez. Pattern analysis for defect classification. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Laura Rodriguez Gómez. Neural-network based defect classifier. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Dominik Ull. Software-based self-test compaction. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Alexander Schöll. Efficient fault-tolerance for the preconditioned conjugate gradient method. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]

Atefe Dalirsani. Diagnosis in NoCs for graceful degradation. Freudenstadt, 2015. [PUMA: hp ubs_10005 ubs_20008 ubs_30085 ubs_40126 unibibliografie]