Publications

Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes Maximilian Kühn, Wolfgang Rosenstiel, Thomas Schweizer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, und Hongyan Zhang. Adaptive multi-layer techniques for increased system dependability. it - Information Technology, (57)3:149-158, De Gruyter, 2015. [PUMA: unibibliografie ubs_10005 hp ubs_40126 ubs_20008 ubs_30085]

Eric Schneider, Michael A. Kochte, Stefan Holst, Xiaoqing Wen, und Hans-Joachim Wunderlich. GPU-Accelerated Simulation of Small Delay Faults. IEEE transactions on computer-aided design of integrated circuits and systems, (36)5:829-841, IEEE, 2017. [PUMA: unibibliografie ubs_10005 ubs_40126 ubs_20008 wos ubs_30085]

Gert Schley, Atefe Dalirsani, Marcus Eggenberger, Nadereh Hatami, Hans-Joachim Wunderlich, und Martin Radetzki. Multi-Layer Diagnosis for Fault-Tolerant Networks-on-Chip. IEEE transactions on computers, (66)5:848-861, IEEE Computer Society, 2017. [PUMA: unibibliografie ubs_10005 ubs_40125 ubs_40126 ubs_20008 wos ubs_30085]

Sadeghi-Kohan Somayeh, Sybille Hellebrand, und Hans-Joachim Wunderlich. Stress-Aware Periodic Test of Interconnects. Journal of electronic testing, (37)5:715-728, Springer, 2022. [PUMA: unibibliografie oa liste ubs_10005 ubs_40126 ubs_20008 ubs_30085]

Sybille Hellebrand, Jörg Henkel, Anand Raghunathan, und Hans-Joachim Wunderlich. Guest Editors' Introduction. IEEE embedded systems letters, (10)1:1, IEEE, 2018. [PUMA: unibibliografie ubs_10005 ubs_40126 ubs_20008 wos ubs_30085]

Hongyan Zhang, Lars Bauer, Michael Andreas Kochte, Eric Schneider, Hans-Joachim Wunderlich, und Joerg Henkel. Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures. IEEE transactions on computers, (66)6:957-970, IEEE Computer Society, 2017. [PUMA: unibibliografie ubs_10005 ubs_40126 ubs_20008 wos ubs_30085]

Stefan Holst, Michael E. Imhof, und Hans-Joachim Wunderlich. High-throughput logic timing simulation on GPGPUs. ACM Transactions on Design Automation of Electronic Systems (TODAES), (20)3:37; 1-21, Association for Computing Machinery, 2015. [PUMA: unibibliografie ubs_10005 hp ubs_40126 ubs_20008 ubs_30085]

Hans-Joachim Wunderlich, und Yervant Zorian. Guest Editor’s Introduction. IEEE design & test, (35)3:5-6, IEEE, 2018. [PUMA: unibibliografie liste ubs_10005 ubs_40126 ubs_20008 ubs_30085]

S. Hellebrand, T. Indlekofer, M. Kampmann, Michael A. Kochte, Chang Liu, und Hans-Joachim Wunderlich. Effiziente Auswahl von Testfrequenzen für den Test kleiner Verzögerungsfehler. 2015. [PUMA: unibibliografie ubs_10005 hp ubs_40126 ubs_20008 ubs_30085]

Eric Schneider, Michael A. Kochte, und Hans-Joachim Wunderlich. Hochbeschleunigte Simulation von Verzögerungsfehlern unter Prozessvariationen. 2015. [PUMA: unibibliografie ubs_10005 hp ubs_40126 ubs_20008 ubs_30085]